Modeltron-logo-black-transparent-3444x1908.png

Hello!

Welcome to Modeltron, the elements of systems modeling.

Conjugated Ports and Interface Blocks

Conjugated Ports and Interface Blocks

Conjugated Ports have been deprecated (i.e., removed from the SysML specification) according to the SysML 1.6 (beta) specification, para C1.2.  From SysML 1.6 onward, Conjugated Interface Blocks will be used to type Ports.  For continuity, a discussion of pre-SysML 1.6 Conjugated Ports is included below, followed by a brief reference to the SysML 1.6 concept of a Conjugated Interface Block.

Prior to SysML 1.6 (beta)

When two Part Properties are connected together through Ports, it may be that they exchange the same Item, just in opposite directions.In other words, one Part Property outputs the Item and the other inputs the Item.A simple way to model this is with Conjugate Ports.To do this, you use the same Interface Block to type the Port on both Blocks, but indicate that the second Port is the conjugate of the first.The SysML language specifies that the conjugated port has a tilde (i.e., a “~”) in front of the Port name to indicate that it is the conjugate of its companion Port.By using conjugation, you save yourself from having to model two separate Ports and it also makes it easier to define the flow of the Item between the Ports.

Example of Blocks with conjugated Standard and Proxy Ports

Example of Blocks with conjugated Standard and Proxy Ports

Example of Part Properties on an IBD with conjugated ports

Example of Part Properties on an IBD with conjugated ports

There is a limitation on the use of Port conjugation however.  SysML specifications through version 1.5 only allowed for the conjugation of standard Ports and Interface Ports.  Conjugation of Full Ports is expressly prohibited.  This is because a Full Port is typed by a Block which has Behavior which can be reused on non-conjugated Ports possibly resulting in conflicted directed Flow Properties.

SysML 1.6

According to the SysML v1.6 beta specification, a conjugated interface block is “a specialization of InterfaceBlock that has the same features as its original InterfaceBlock except that its DirectedFeatures and FlowProperties are reversed (conjugated)….conjugation is specified by a constraint giving the features of ~InterfaceBlocks according to those of their original InterfaceBlocks.”  As of the date of this post, major tool manufacturers have not yet fully implement SysML v1.6 (beta), so an example will be posted at a later date as an amendment to this post.

Reference:

Object Management Group (2018) OMG Systems Modeling Language Version 1.6. Needham, MA: OMG. Para C1.2, C3.1, and 9.3.2.15.

Continuous and Discrete Rate of Flow

Continuous and Discrete Rate of Flow

Unique and Ordered Collections

Unique and Ordered Collections